Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?

Answers were Sorted based on User's Feedback



Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?..

Answer / muthu

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity gh_DFF is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end gh_DFF;

architecture a of gh_DFF is
begin

process(CLK,rst)
begin
if (rst = '1') then
Q <= '0';
elsif (rising_edge(CLK)) then
Q <= D;
end if;
end process;

end a;

Is This Answer Correct ?    36 Yes 2 No

Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?..

Answer / balaji

library ieee;
use ieee.std_logic_1164.all;

entity d_ff is
port(d,clk:in std_logic;
q,q'bar:out std_logic);
end d_ff;

architecture a_d_ff of d_ff is
begin
process(clk)
begin
if rising_edge(clk) then
q<=d;
q'bar<=not d;
end if;
end process;
end a_d_ff;

Is This Answer Correct ?    32 Yes 13 No

Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?..

Answer / seetharamukg

D flip-flop can be implemented by using 2 D-Latches.



---------- -----------
--|Din Q |-----|Din Q|---output of Flop
| D-latch1| | D-latch2 |
| ^ | | ^ |
----|----- ----|------
Clk -------------not-----

Is This Answer Correct ?    21 Yes 12 No

Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?..

Answer / harvir

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity gh_DFF is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end gh_DFF;

architecture a of gh_DFF is
begin

process(CLK,rst)
begin
if (rst = '1') then
Q <= '0';
elsif (rising_edge(CLK)) then
Q <= D;
end if;
end process;

end a;

Is This Answer Correct ?    12 Yes 3 No

Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?..

Answer / hps

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity gh_DFF is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end gh_DFF;

architecture a of gh_DFF is
begin

process(CLK,rst)
begin
if (rst = '1') then
Q <= '0';
elsif (rising_edge(CLK)) then
Q <= D; // The latch should_not be included
// ie:- instead of D ; D should used
end if;
end process;

end a;

Is This Answer Correct ?    5 Yes 0 No

Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?..

Answer / rakesh

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity DFlip_Flop is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end DFlip_Flop;

Architecture of DFlip_Flop is

begin

---ANother way of writing code for creating D_Flip_Flop in VHDL

process(Clk, Rst)
begin
if (Rst ='1') then
Q <= '0';
elsif(clk='1' and clk'event) then
Q <= D;
end if;
end process;

end ;

Is This Answer Correct ?    0 Yes 0 No

Post New Answer

More VLSI Interview Questions

Id vs. Vds Characteristics of NMOS and PMOS transistors?

1 Answers   Brillient, Intel, ISRO,


What is the difference between = and == in C?

5 Answers   Intel,


What are the ways to Optimize the Performance of a Difference Amplifier?

0 Answers  


What are the two types of noise of MOSFET, how to eliminate them?(Thermal and Flicker).

4 Answers   Analog Devices,


Are you familiar with the term snooping?

1 Answers   Intel,






What are the different gates where boolean logic are applicable?

0 Answers  


Explain how MOSFET works?

0 Answers  


What are the different classification of the timing control?

0 Answers  


Cross section of an NMOS transistor?

3 Answers   Intel,


Differences between blocking and Non-blocking statements in Verilog?

5 Answers   Intel,


What are the steps involved in preventing the metastability?

0 Answers  


Are you familiar with the term MESI?

0 Answers   Intel,


Categories