Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?

Answer Posted / seetharamukg

D flip-flop can be implemented by using 2 D-Latches.



---------- -----------
--|Din Q |-----|Din Q|---output of Flop
| D-latch1| | D-latch2 |
| ^ | | ^ |
----|----- ----|------
Clk -------------not-----

Is This Answer Correct ?    21 Yes 12 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

If an/ap = 0.5, an/ap = 1, an/ap = 3, for 3 inverters draw the transfer characteristics?

2011


Explain Basic Stuff related to Perl?

610


How does Vbe and Ic change with temperature?

2955


Give a big picture of the entire SRAM Layout showing your placements of SRAM Cells, Row Decoders, Column Decoders, Read Circuit, Write Circuit and Buffers

635


What is the function of enhancement mode transistor?

630






What are the different classification of the timing control?

576


What are the different ways in which antenna violation can be prevented?

667


Explain the Charge Sharing problem while sampling data from a Bus?

2103


Let A & B be two inputs of the NAND gate. Say signal A arrives at the NAND gate later than signal B. To optimize delay, of the two series NMOS inputs A & B, which one would you place near the output?

866


Explain how binary number can give a signal or convert into a digital signal?

670


Explain why is the number of gate inputs to cmos gates usually limited to four?

1019


What are the different measures that are required to achieve the design for better yield?

586


What does it mean “the channel is pinched off”?

845


Explain the Various steps in Synthesis?

2830


what is a sequential circuit?

604