VLSI Interview Questions
Questions Answers Views Company eMail

6-T XOR gate?

Intel,

3784

Differences between blocking and Non-blocking statements in Verilog?

Intel,

5 19053

Differences between Signals and Variables in VHDL? If the same code is written using Signals and Variables what does it synthesize to?

IIT, Intel,

1 19641

Differences between functions and Procedures in VHDL?

Intel,

5 52556

What is component binding?

Intel,

2 5158

What is polymorphism? (C++)

Intel,

2 5075

What is hot electron effect?

Intel,

3 11727

Define threshold voltage?

College School Exams Tests, Intel, JHG, Wipro,

32 125446

Factors affecting Power Consumption on a chip?

Intel,

7 14782

Explain Clock Skew?

Intel, nvidia,

6 19274

Why do we use a Clock tree?

Intel,

3 12182

Explain the various Capacitances associated with a transistor and which one of them is the most prominent?

Intel,

2 7129

Explain the Various steps in Synthesis?

Intel,

2818

Explain ASIC Design Flow?

Intel, JK Associates, Mind Tree,

2 14304

Explain Custom Design Flow?

Intel,

2 6104


Post New VLSI Questions

Un-Answered Questions { VLSI }

Implement a function with both ratioes and domino logic and merits and demerits of each logic?

707


What was your role in the silicon evaluation or product ramp? What tools did you use?

1852


What is the function of enhancement mode transistor?

620


Explain what is Verilog?

633


Mention what are three regions of operation of mosfet and how are they used?

577






Explain the operation of a 6T-SRAM cell?

4057


Draw a transistor level two input NAND gate. Explain its sizing (a) considering Vth (b) for equal rise and fall times

1052


Are you familiar with the term snooping?

2913


Describe a finite state machine that will detect three consecutive coin tosses (of one coin) that results in heads.

2307


Mention what are the different gates where Boolean logic are applicable?

658


For a NMOS transistor acting as a pass transistor, say the gate is connected to VDD, give the output for a square pulse input going from 0 to VDD

935


Process technology? What package was used and how did you model the package/system? What parasitic effects were considered?

1767


what is verilog?

630


Explain the Charge Sharing problem while sampling data from a Bus?

4164


You have a driver that drives a long signal & connects to an input device. At the input device there is either overshoot, undershoot or signal threshold violations, what can be done to correct this problem?

2170