VLSI Interview Questions
Questions Answers Views Company eMail

If not into production, how far did you follow the design and why did not you see it into production?

Intel,

1671

Insights of an inverter. Explain the working?

Intel,

1 9133

Insights of a 2 input NOR gate. Explain the working?

Infosys, Intel,

1 2859

Insights of a 2 input NAND gate. Explain the working?

Intel,

1 8053

Implement F= not (AB+CD) using CMOS gates?

Intel,

3507

Insights of a pass gate. Explain the working?

Intel,

4334

Why do we need both PMOS and NMOS transistors to implement a pass gate?

INEL, Intel,

3 13215

What does the above code synthesize to?

Intel,

2000

Cross section of a PMOS transistor?

Intel,

4241

Cross section of an NMOS transistor?

Intel,

3 8463

What is a D-latch? Write the VHDL Code for it?

Intel,

3 21060

Differences between D-Latch and D flip-flop?

AIT, Intel, Sibridge Technologies,

17 60101

Implement D flip-flop with a couple of latches? Write a VHDL Code for a D flip-flop?

Intel,

6 17965

What is latchup? Explain the methods used to prevent it?

Intel,

2 8648

What is charge sharing?

Cypress Semiconductor, Intel,

2 12318


Post New VLSI Questions

Un-Answered Questions { VLSI }

What are the different classification of the timing control?

572


Explain the Working of a 2-stage OPAMP?

696


Tell me how MOSFET works.

1921


What is Noise Margin? Explain the procedure to determine Noise Margin?

1971


What are the Factors affecting Power Consumption on a chip?

756






What happens if we delay the enabling of Clock signal?

1793


Write a VLSI program that implements a toll booth controller?

3493


You have a driver that drives a long signal & connects to an input device. At the input device there is either overshoot, undershoot or signal threshold violations, what can be done to correct this problem?

2170


What is the function of tie-high and tie-low cells?

612


If an/ap = 0.5, an/ap = 1, an/ap = 3, for 3 inverters draw the transfer characteristics?

2002


Explain Basic Stuff related to Perl?

599


what is verilog?

630


What are the steps involved in designing an optimal pad ring?

708


Explain CMOS Inverter transfer characteristics?

3436


what is Slack?

695