What is a D-latch? Write the VHDL Code for it?
Answers were Sorted based on User's Feedback
Answer / rams
D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.
Is This Answer Correct ? | 17 Yes | 4 No |
Answer / sghsg
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
Is This Answer Correct ? | 0 Yes | 0 No |
Answer / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
Is This Answer Correct ? | 23 Yes | 24 No |
Give the expression for calculating Delay in CMOS circuit?
In what cases do you need to double clock a signal before presenting it to a synchronous state machine?
How to improve these parameters? (Cascode topology, use long channel transistors)
Explain what is multiplexer?
Draw a transistor level two input NAND gate. Explain its sizing (a) considering Vth (b) for equal rise and fall times
Tell me how BJT works.
You have a driver that drives a long signal & connects to an input device. At the input device there is either overshoot, undershoot or signal threshold violations, what can be done to correct this problem?
what is the difference between the TTL chips and CMOS chips?
If not into production, how far did you follow the design and why did not you see it into production?
Have you studied buses? What types?
what is charge sharing?
What are the main issues associated with multiprocessor caches and how might you solve them?