VLSI Interview Questions
Questions Answers Views Company eMail

Insights of a Tri-State Inverter?

Intel,

3 7368

Basic Stuff related to Perl?

Intel,

2397

Have you studied buses? What types?

Intel,

5 15074

Have you studied pipelining? List the 5 stages of a 5 stage pipeline. Assuming 1 clock per stage, what is the latency of an instruction in a 5 stage machine? What is the throughput of this machine ?

Intel,

4 12490

How many bit combinations are there in a byte?

Intel,

6 10085

For a single computer processor computer system, what is the purpose of a processor cache and describe its operation?

Intel,

3 10141

Explain the operation considering a two processor computer system with a cache for each processor.

Intel,

2341

What are the main issues associated with multiprocessor caches and how might you solve them?

Intel,

1 9075

Explain the difference between write through and write back cache.

Intel,

2 18130

Are you familiar with the term MESI?

Intel,

1 10338

Are you familiar with the term snooping?

Intel,

1 9181

Describe a finite state machine that will detect three consecutive coin tosses (of one coin) that results in heads.

Intel,

1 16643

In what cases do you need to double clock a signal before presenting it to a synchronous state machine?

IBM, Intel, nvidia,

3 18830

You have a driver that drives a long signal & connects to an input device. At the input device there is either overshoot, undershoot or signal threshold violations, what can be done to correct this problem?

Intel,

4 14331

What are the total number of lines written by you in C/C++? What compiler was used?

Intel, Zensar,

1 4607


Post New VLSI Questions

Un-Answered Questions { VLSI }

Draw a transistor level two input NAND gate. Explain its sizing (a) considering Vth (b) for equal rise and fall times

1052


why is the number of gate inputs to CMOS gates usually limited to four?

788


Implement F= not (AB+CD) using CMOS gates?

3507


Help with VHDL programming. Write a VHDL code for a D-Latch with clear input ?? (Hint: Set up a “Process” with appropriate sensitivity list to get the desired D-Latch with Clr working.) Inputs AND OUTPUTS: entity Lab4b is Port ( Clr, Clk, D : in STD_LOGIC; Q : out STD_LOGIC); end Lab4b;

1047


What are the Advantages and disadvantages of Mealy and Moore?

694






Explain the Charge Sharing problem while sampling data from a Bus?

2092


What are the different classification of the timing control?

572


For an AND-OR implementation of a two input Mux, how do you test for Stuck-At-0 and Stuck-At-1 faults at the internal nodes? (You can expect a circuit with some redundant logic)

702


What work have you done on full chip Clock and Power distribution? What process technology and budgets were used?

2734


What is look up table in vlsi?

537


Explain what is multiplexer?

614


What is Charge Sharing? Explain the Charge Sharing problem while sampling data from a Bus

774


What types of high speed CMOS circuits have you designed?

2052


Explain depletion region.

605


You have three adjacent parallel metal lines. Two out of phase signals pass through the outer two metal lines. Draw the waveforms in the centre metal line due to interference. Now, draw the signals if the signals in outer metal lines are in phase with each other

942