Help with VHDL programming. Write a VHDL code for a D-Latch with clear input ?? (Hint: Set up a “Process” with appropriate sensitivity list to get the desired D-Latch with Clr working.)

Inputs AND OUTPUTS:
entity Lab4b is
Port ( Clr, Clk, D : in STD_LOGIC;
Q : out STD_LOGIC);
end Lab4b;


No Answer is Posted For this Question
Be the First to Post Answer

Post New Answer

More VLSI Interview Questions

Explain how MOSFET works?

0 Answers  


Write a pseudo code for sorting the numbers in an array?

2 Answers   Intel,


Differences between functions and Procedures in VHDL?

5 Answers   Intel,


What is Noise Margin? Explain the procedure to determine Noise Margin?

0 Answers  


What is pipelining and how can we increase throughput using pipelining?

1 Answers   Intel,






Explain the working of Insights of a pass gate ?

0 Answers   Intel,


If the current thru the poly is 20nA and the contact can take a max current of 10nA how would u overcome the problem?

1 Answers   Intel,


What are the changes that are provided to meet design power targets?

0 Answers  


If the substrate doping concentration increase, or temperature increases, how will Vt change? it increase or decrease?

1 Answers  


Explain about stuck at fault models, scan design, BIST and IDDQ testing?

3 Answers   Intel,


what is the use of defpararm?

0 Answers  


For f = AB+CD if B is S-a-1, what r the test vectors needed to detect the fault?

5 Answers   Intel,


Categories