Golgappa.net | Golgappa.org | BagIndia.net | BodyIndia.Com | CabIndia.net | CarsBikes.net | CarsBikes.org | CashIndia.net | ConsumerIndia.net | CookingIndia.net | DataIndia.net | DealIndia.net | EmailIndia.net | FirstTablet.com | FirstTourist.com | ForsaleIndia.net | IndiaBody.Com | IndiaCab.net | IndiaCash.net | IndiaModel.net | KidForum.net | OfficeIndia.net | PaysIndia.com | RestaurantIndia.net | RestaurantsIndia.net | SaleForum.net | SellForum.net | SoldIndia.com | StarIndia.net | TomatoCab.com | TomatoCabs.com | TownIndia.com
Interested to Buy Any Domain ? << Click Here >> for more details...

What is a D-latch? Write the VHDL Code for it?

Answer Posted / bhushan

D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)


library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;

end arch_D_latch;

Is This Answer Correct ?    23 Yes 24 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

Describe a finite state machine that will detect three consecutive coin tosses (of one coin) that results in heads.

2786


Draw the SRAM Write Circuitry

1138


What is the main function of metastability in vsdl?

1006


what is verilog?

1055


Mention what are the two types of procedural blocks in Verilog?

1215


What is the ideal input and output resistance of a current source?

2951


What are the different design techniques required to create a layout for digital circuits?

995


What is look up table in vlsi?

940


You have three adjacent parallel metal lines. Two out of phase signals pass through the outer two metal lines. Draw the waveforms in the centre metal line due to interference. Now, draw the signals if the signals in outer metal lines are in phase with each other

1504


What is Body Effect?

2464


Differences between IRSIM and SPICE?

5387


what is the use of defpararm?

1100


What are the Advantages and disadvantages of Mealy and Moore?

1194


What are the main issues associated with multiprocessor caches and how might you solve them?

2162


Why do we gradually increase the size of inverters in buffer design? Why not give the output of a circuit to one large inverter?

1239