Golgappa.net | Golgappa.org | BagIndia.net | BodyIndia.Com | CabIndia.net | CarsBikes.net | CarsBikes.org | CashIndia.net | ConsumerIndia.net | CookingIndia.net | DataIndia.net | DealIndia.net | EmailIndia.net | FirstTablet.com | FirstTourist.com | ForsaleIndia.net | IndiaBody.Com | IndiaCab.net | IndiaCash.net | IndiaModel.net | KidForum.net | OfficeIndia.net | PaysIndia.com | RestaurantIndia.net | RestaurantsIndia.net | SaleForum.net | SellForum.net | SoldIndia.com | StarIndia.net | TomatoCab.com | TomatoCabs.com | TownIndia.com
Interested to Buy Any Domain ? << Click Here >> for more details...

What is a D-latch? Write the VHDL Code for it?

Answer Posted / bhushan

D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)


library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;

end arch_D_latch;

Is This Answer Correct ?    23 Yes 24 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

Write a VLSI program that implements a toll booth controller?

3972


For an AND-OR implementation of a two input Mux, how do you test for Stuck-At-0 and Stuck-At-1 faults at the internal nodes? (You can expect a circuit with some redundant logic)

1263


Differences between Array and Booth Multipliers?

4062


Describe the various effects of scaling?

4772


What are the changes that are provided to meet design power targets?

1099


What does it mean “the channel is pinched off”?

1343


How to improve these parameters? (Cascode topology, use long channel transistors)

2169


Implement a function with both ratioes and domino logic and merits and demerits of each logic?

1187


Explain how binary number can give a signal or convert into a digital signal?

1112


What is the purpose of having depletion mode device?

1027


What was your role in the silicon evaluation/product ramp? What tools did you use?

3711


Explain what is slack?

1053


What are the steps involved in preventing the metastability?

1113


Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes considering Channel Length Modulation.

1190


How does a Bandgap Voltage reference work?

3891