What is a D-latch? Write the VHDL Code for it?
Answer Posted / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 23 Yes | 24 No |
Post New Answer View All Answers
Write a VLSI program that implements a toll booth controller?
For an AND-OR implementation of a two input Mux, how do you test for Stuck-At-0 and Stuck-At-1 faults at the internal nodes? (You can expect a circuit with some redundant logic)
Differences between Array and Booth Multipliers?
Describe the various effects of scaling?
What are the changes that are provided to meet design power targets?
What does it mean “the channel is pinched off”?
How to improve these parameters? (Cascode topology, use long channel transistors)
Implement a function with both ratioes and domino logic and merits and demerits of each logic?
Explain how binary number can give a signal or convert into a digital signal?
What is the purpose of having depletion mode device?
What was your role in the silicon evaluation/product ramp? What tools did you use?
Explain what is slack?
What are the steps involved in preventing the metastability?
Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes considering Channel Length Modulation.
How does a Bandgap Voltage reference work?