What is a D-latch? Write the VHDL Code for it?
Answer Posted / sghsg
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 0 Yes | 0 No |
Post New Answer View All Answers
What transistor level design tools are you proficient with? What types of designs were they used on?
Explain Cross section of an NMOS transistor?
In the design of a large inverter, why do we prefer to connect small transistors in parallel (thus increasing effective width) rather than lay out one transistor with large width?
What are the steps required to solve setup and hold violations in vlsi?
Insights of a 4bit adder/Sub Circuit?
In a SRAM layout, which metal layers would you prefer for Word Lines and Bit Lines? Why?
what is verilog?
Differences between Array and Booth Multipliers?
Give various factors on which threshold voltage depends.
Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes with increasing transistor width.
How can you model a SRAM at RTL Level?
Cross section of a PMOS transistor?
Explain how logical gates are controlled by Boolean logic?
What is Charge Sharing? Explain the Charge Sharing problem while sampling data from a Bus
For f = AB+CD if B is S-a-1, what are the test vectors needed to detect the fault?