What is a D-latch? Write the VHDL Code for it?

Answers were Sorted based on User's Feedback



What is a D-latch? Write the VHDL Code for it?..

Answer / rams

D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.

Is This Answer Correct ?    17 Yes 4 No

What is a D-latch? Write the VHDL Code for it?..

Answer / sghsg

library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;

Is This Answer Correct ?    0 Yes 0 No

What is a D-latch? Write the VHDL Code for it?..

Answer / bhushan

D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)


library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;

end arch_D_latch;

Is This Answer Correct ?    23 Yes 24 No

Post New Answer

More VLSI Interview Questions

Give a big picture of the entire SRAM Layout showing your placements of SRAM Cells, Row Decoders, Column Decoders, Read Circuit, Write Circuit and Buffers

0 Answers   Infosys,


What types of CMOS memories have you designed? What were their size? Speed?

0 Answers   Intel, Wipro,


How to improve these parameters? (Cascode topology, use long channel transistors)

0 Answers  


Define threshold voltage?

32 Answers   College School Exams Tests, Intel, JHG, Wipro,


what is the use of defpararm?

0 Answers  






How to find the read failiure probablity in SRAM?

2 Answers  


In what cases do you need to double clock a signal before presenting it to a synchronous state machine?

3 Answers   IBM, Intel, nvidia,


what is Channel length modulation?

2 Answers   Intel,


How do you detect if two 8-bit signals are same?

6 Answers  


What?s the critical path in a SRAM?

2 Answers   Infosys, Intel, Texas,


What are the limitations in increasing the power supply to reduce delay?

2 Answers   Infosys,


Give the logic expression for an AOI gate. Draw its transistor level equivalent. Draw its stick diagram

0 Answers   Infosys,


Categories