What is a D-latch? Write the VHDL Code for it?

Answer Posted / rams

D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.

Is This Answer Correct ?    17 Yes 4 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

How binary number can give a signal or convert into a digital signal?

694


Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes considering Channel Length Modulation.

704


How does a Bandgap Voltage reference work?

3253


How does Vbe and Ic change with temperature?

2961


How do you size NMOS and PMOS transistors to increase the threshold voltage?

2546






What is the function of chain reordering?

626


What is the difference between nmos and pmos technologies?

657


In the design of a large inverter, why do we prefer to connect small transistors in parallel (thus increasing effective width) rather than lay out one transistor with large width?

690


Let A & B be two inputs of the NAND gate. Say signal A arrives at the NAND gate later than signal B. To optimize delay, of the two series NMOS inputs A & B, which one would you place near the output?

888


Draw a 6-T SRAM Cell and explain the Read and Write operations

805


Draw the Differential Sense Amplifier and explain its working. Any idea how to size this circuit? (Consider Channel Length Modulation)

904


What products have you designed which have entered high volume production?

1972


In a SRAM layout, which metal layers would you prefer for Word Lines and Bit Lines? Why?

3606


Describe a finite state machine that will detect three consecutive coin tosses (of one coin) that results in heads.

2321


What is Charge Sharing? Explain the Charge Sharing problem while sampling data from a Bus

800