What is a D-latch? Write the VHDL Code for it?
Answer Posted / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
Is This Answer Correct ? | 23 Yes | 24 No |
Post New Answer View All Answers
What does the above code synthesize to?
Insights of a 4bit adder/Sub Circuit?
Draw the stick diagram of a NOR gate. Optimize it
Explain how logical gates are controlled by Boolean logic?
Mention what are the different gates where Boolean logic are applicable?
Basic Stuff related to Perl?
What is the critical path in a SRAM?
In Verilog code what does “timescale 1 ns/ 1 ps” signifies?
Draw a 6-T SRAM Cell and explain the Read and Write operations
Explain the working of 4-bit Up/down Counter?
what is Slack?
Explain what is the use of defpararm?
Let A & B be two inputs of the NAND gate. Say signal A arrives at the NAND gate later than signal B. To optimize delay, of the two series NMOS inputs A & B, which one would you place near the output?
In a SRAM layout, which metal layers would you prefer for Word Lines and Bit Lines? Why?
What are the Advantages and disadvantages of Mealy and Moore?