Embedded Systems Interview Questions
Questions Answers Views Company eMail

While using logic design, explain the various steps that r followed to obtain the desirable design in a well defined manner?

Intel,

1 6075

Why is OOPS called OOPS? (C++)

ARM, Intel,

1 4710

What is a linked list? Explain the 2 fields in a linked list?

Intel,

1 7286

Implement a 2 I/P and gate using Tran gates?

Intel,

3507

Insights of a 4bit adder/Sub Circuit?

Intel,

2839

For f = AB+CD if B is S-a-1, what r the test vectors needed to detect the fault?

Intel,

5 12190

Explain various adders and diff between them?

Intel,

1 4752

Explain the working of 4-bit Up/down Counter?

Intel,

3981

A circuit has 1 input X and 2 outputs A and B. If X = HIGH for 4 clock ticks, A = 1. If X = LOW for 4 clock ticks, B = 1. Draw a state diagram for this Spec?

Intel,

3 8581

Advantages and disadvantages of Mealy and Moore?

Intel,

2 39019

Id vs. Vds Characteristics of NMOS and PMOS transistors?

Brillient, Intel, ISRO,

1 15979

Explain the operation of a 6T-SRAM cell?

Intel,

4061

Differences between DRAM and SRAM?

Infosys, Intel, University, Wipro,

14 68136

Implement a function with both ratioed and domino logic and merits and demerits of each logic?

Intel,

3223

Given a circuit and asked to tell the output voltages of that circuit?

Intel, Omega Healthcare,

1 3811


Un-Answered Questions { Embedded Systems }

Describe the non-vectored interrupt process?

636


Explain what is scr (silicon controlled rectifier)?

611


Explain the functions of ale in 8085?

590


What is the maximum internal clock frequency of 8086?

637


Differences between Array and Booth Multipliers?

3534






Need to convert this VHDL code into VLSI verilog code? LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ----using all functions of specific package--- ENTITY tollbooth2 IS PORT (Clock,car_s,RE : IN STD_LOGIC; coin_s : IN STD_LOGIC_VECTOR(1 DOWNTO 0); r_light,g_light,alarm : OUT STD_LOGIC); END tollbooth2; ARCHITECTURE Behav OF tollbooth2 IS TYPE state_type IS (NO_CAR,GOTZERO,GOTFIV,GOTTEN,GOTFIF,GOTTWEN,CAR_PAID,CHEATE D); ------GOTZERO = PAID $0.00--------- ------GOTFIV = PAID $0.05---------- ------GOTTEN = PAID $0.10---------- ------GOTFIF = PAID $0.15---------- ------GOTTWEN = PAID $0.20--------- SIGNAL present_state,next_state : state_type; BEGIN -----Next state is identified using present state,car & coin sensors------ PROCESS(present_state,car_s,coin_s) BEGIN CASE present_state IS WHEN NO_CAR => IF (car_s = '1') THEN next_state <= GOTZERO; ELSE next_state <= NO_CAR; END IF; WHEN GOTZERO => IF (car_s ='0') THEN next_state <= CHEATED; ELSIF (coin_s = "00") THEN next_state <= GOTZERO; ELSIF (coin_s = "01") THEN next_state <= GOTFIV; ELSIF (coin_s ="10") THEN next_state <= GOTTEN; END IF; WHEN GOTFIV=> IF (car_s ='0') THEN next_state <= CHEATED; ELSIF (coin_s = "00") THEN next_state <= GOTFIV; ELSIF (coin_s = "01") THEN next_state <= GOTTEN; ELSIF (coin_s <= "10") THEN next_state <= GOTFIV; END IF; WHEN GOTTEN => IF (car_s ='0') THEN next_state <= CHEATED; ELSIF (coin_s ="00") THEN next_state <= GOTTEN; ELSIF (coin_s="01") THEN next_state <= GOTFIV; ELSIF (coin_s="10") THEN next_state <= GOTTWEN; END IF; WHEN GOTFIF => IF (car_s ='0') THEN next_state <= CHEATED; ELSIF (coin_s = "00") THEN next_state <= GOTFIF; ELSIF (coin_s ="01") THEN next_state <= GOTTWEN; ELSIF (coin_s = "10") THEN next_state <= GOTTWEN; END IF; WHEN GOTTWEN => next_state <= CAR_PAID; WHEN CAR_PAID => IF (car_s = '0') THEN next_state <= NO_CAR; ELSE next_state<= CAR_PAID; END IF; WHEN CHEATED => IF (car_s = '1') THEN next_state <= GOTZERO; ELSE next_state <= CHEATED; END IF; END CASE; END PROCESS;-----End of Process 1 -------PROCESS 2 for STATE REGISTER CLOCKING-------- PROCESS(Clock,RE) BEGIN IF RE = '1' THEN present_state <= GOTZERO; ----When the clock changes from low to high,the state of the system ----stored in next_state becomes the present state----- ELSIF Clock'EVENT AND Clock ='1' THEN present_state <= next_state; END IF; END PROCESS;-----End of Process 2------- --------------------------------------------------------- -----Conditional signal assignment statements---------- r_light <= '0' WHEN present_state = CAR_PAID ELSE '1'; g_light <= '1' WHEN present_state = CAR_PAID ELSE '0'; alarm <= '1' WHEN present_state = CHEATED ELSE '0'; END Behav;

4727


What is a 'volatile' variable?

470


For a NMOS transistor acting as a pass transistor, say the gate is connected to VDD, give the output for a square pulse input going from 0 to VDD

938


How about voltage source?

1826


Are you familiar with the term snooping?

2915


What type of software simulator and developer have you used?

421


How does the addition of service orientation change systems? When is it appropriate to use?

472


Give example of bit address and byte address?

564


What are the types of interrupts from type 0 to 4

581


What are the qualifiers in c?

433