Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?

Answer Posted / rakesh

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity DFlip_Flop is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end DFlip_Flop;

Architecture of DFlip_Flop is

begin

---ANother way of writing code for creating D_Flip_Flop in VHDL

process(Clk, Rst)
begin
if (Rst ='1') then
Q <= '0';
elsif(clk='1' and clk'event) then
Q <= D;
end if;
end process;

end ;

Is This Answer Correct ?    0 Yes 0 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

Explain what is the depletion region?

628


Explain depletion region.

623


what is SCR (Silicon Controlled Rectifier)?

640


For f = AB+CD if B is S-a-1, what are the test vectors needed to detect the fault?

745


Explain the working of Insights of a pass gate ?

682






What is Body Effect?

2043


What work have you done on full chip Clock and Power distribution? What process technology and budgets were used?

2362


What are the steps required to solve setup and hold violations in vlsi?

632


What types of high speed CMOS circuits have you designed?

2069


Explain the Charge Sharing problem while sampling data from a Bus?

4187


Implement a function with both ratioed and domino logic and merits and demerits of each logic?

3242


What are the steps involved in designing an optimal pad ring?

725


How do you size NMOS and PMOS transistors to increase the threshold voltage?

2546


What is the function of tie-high and tie-low cells?

625


How can you model a SRAM at RTL Level?

5267