Give a circuit to divide frequency of clock cycle by two ?

Answer Posted / manish sharma

Divide the clock frequency by 2
A 2:1 MUX with CLK as select signal. The 0 select input is just the output. The 1 select input is output_bar(NOT output).

Is This Answer Correct ?    1 Yes 4 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

How many instruction bits does 8085 processor support?

601


Explain the functions of ale in 8085?

600


In which T-state does the CPU sends the address o memory or I/O and the ALE signal for Demultiplexing

1020


What is 'daisy-chaining' in reference to the 8086?

730


How can the signals of the 8086 be categorised?

620






what is the difference between timer and counter of microcontroller?

729


Why stack is used in program?

659


Which 2 ports combine to form the 16 bit address for external memory access?

765


What are Pseudo instructions basically?

656


explain about the characters of internal interrupts?

647


What is the significance of the hold and hlda pins?

589


How many i/o ports are present in the 8086?

659


Define crossbar switching with reference to 8086?

615


The Pentium microprocessor has how many execution unit?

634


What is the need for port?

673