Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?

Answer Posted / hps

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity gh_DFF is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end gh_DFF;

architecture a of gh_DFF is
begin

process(CLK,rst)
begin
if (rst = '1') then
Q <= '0';
elsif (rising_edge(CLK)) then
Q <= D; // The latch should_not be included
// ie:- instead of D ; D should used
end if;
end process;

end a;

Is This Answer Correct ?    5 Yes 0 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

Explain CMOS Inverter transfer characteristics?

3456


What happens if we use an Inverter instead of the Differential Sense Amplifier?

2740


Explain the working of Insights of an inverter ?

718


What is Body Effect?

2043


What was your role in the silicon evaluation/product ramp? What tools did you use?

3222






6-T XOR gate?

3801


Explain the operation considering a two processor computer system with a cache for each processor.

2354


What work have you done on full chip Clock and Power distribution? What process technology and budgets were used?

2746


Explain what is the depletion region?

628


Design an 8 is to 3 encoder using 4 is to encoder?

877


What are the steps involved in preventing the metastability?

655


Differences between IRSIM and SPICE?

4955


What is the purpose of having depletion mode device?

625


Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes with increasing transistor width.

797


You have three adjacent parallel metal lines. Two out of phase signals pass through the outer two metal lines. Draw the waveforms in the centre metal line due to interference. Now, draw the signals if the signals in outer metal lines are in phase with each other

979