Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?

Answer Posted / muthu

ibrary IEEE;
use IEEE.STD_LOGIC_1164.all;

entity gh_DFF is
port(
D : in STD_LOGIC;
CLK : in STD_LOGIC;
rst : in STD_LOGIC;
Q : out STD_LOGIC
);
end gh_DFF;

architecture a of gh_DFF is
begin

process(CLK,rst)
begin
if (rst = '1') then
Q <= '0';
elsif (rising_edge(CLK)) then
Q <= D;
end if;
end process;

end a;

Is This Answer Correct ?    36 Yes 2 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

What types of CMOS memories have you designed? What were their size? Speed?

4163


Mention what are three regions of operation of mosfet and how are they used?

593


How does the size of PMOS Pull Up transistors (for bit & bit- lines) affect SRAM's performance?

746


Draw a CMOS Inverter. Explain its transfer characteristics

677


What is Latch Up? Explain Latch Up with cross section of a CMOS Inverter. How do you avoid Latch Up?

778






For an AND-OR implementation of a two input Mux, how do you test for Stuck-At-0 and Stuck-At-1 faults at the internal nodes? (You can expect a circuit with some redundant logic)

717


Mention what are the different gates where Boolean logic are applicable?

676


Working of a 2-stage OPAMP?

2619


For CMOS logic, give the various techniques you know to minimize power consumption

869


How binary number can give a signal or convert into a digital signal?

694


Give the logic expression for an AOI gate. Draw its transistor level equivalent. Draw its stick diagram

861


Explain Cross section of a PMOS transistor?

753


Implement F= not (AB+CD) using CMOS gates?

3527


Draw the Layout of an Inverter?

2054


What is Noise Margin? Explain the procedure to determine Noise Margin?

1985