Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?

Answer Posted / balaji

library ieee;
use ieee.std_logic_1164.all;

entity d_ff is
port(d,clk:in std_logic;
q,q'bar:out std_logic);
end d_ff;

architecture a_d_ff of d_ff is
begin
process(clk)
begin
if rising_edge(clk) then
q<=d;
q'bar<=not d;
end if;
end process;
end a_d_ff;

Is This Answer Correct ?    32 Yes 13 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

How does Vbe and Ic change with temperature?

2961


Explain how Verilog is different to normal programming language?

687


What are the different ways in which antenna violation can be prevented?

671


What is the function of enhancement mode transistor?

641


What does it mean “the channel is pinched off”?

857






what is verilog?

641


Are you familiar with the term MESI?

2124


What transistor level design tools are you proficient with? What types of designs were they used on?

4578


What transistor level design tools are you proficient with? What types of designs were they used on?

2882


Draw a 6-T SRAM Cell and explain the Read and Write operations

806


What types of CMOS memories have you designed? What were their size? Speed?

4160


Write a VLSI program that implements a toll booth controller?

3505


Explain why is the number of gate inputs to cmos gates usually limited to four?

1026


Draw a CMOS Inverter. Explain its transfer characteristics

677


What is the difference between the mealy and moore state machine?

599