Can i please VHDL code for D-Latch with clear input ?? (HINT: Set up a
“Process” with appropriate sensitivity list to get the desired D-Latch with Clr working.)
Inputs and Outputs:
entity Lab4b is
Port ( Clr, Clk, D : in STD_LOGIC;
Q : out STD_LOGIC);
end Lab4b;


No Answer is Posted For this Question
Be the First to Post Answer

Post New Answer

More Programming Languages AllOther Interview Questions

which is the best institute for testing tools in hyderabad?

1 Answers  


how can we get version of database ?

1 Answers  


how we can call xml file in java file using Android platform?

2 Answers   TCS,


hi friends please tel me how to handle the recovery manager(All i.e PopUp ,Application Crach ,Object state,etc) in QTP

1 Answers   IBM,


In staad pro, how can we design ROOF SLAB?

0 Answers   L&T,






How can successful and thorough systems planning, analysis, and design be ruined by poor systems construction and implementation? How can poor systems analysis or design ruin a smooth construction and implementation? For both questions, list some consequences

1 Answers  


< DL Compact > tag is used for

0 Answers  


Even if all fields are initialized also in a program, I am getting COBOL/400 decimal data error .why is it coming?

1 Answers   Freelance,


Plz Show notepad Coding using c# in window based application

1 Answers  


Wrtite a JCL for sorting a file with start from 36 postion lenth 9 excluding a num eq to 98768. for 3 marks mainframe

0 Answers  


in IBM PC -AT.WAT AT REFERS TO?

0 Answers   IBM,


How will you prove that java swing is multithreaded?

0 Answers  


Categories