Company Name Starts with ...
#  A  B  C  D  E   F  G  H  I  J   K  L  M  N  O   P  Q  R  S  T   U  V  W  X  Y  Z

IISc Bio Chemistry Interview Questions
Questions Answers Views Company eMail

you have a mixture of dNTPs(deoxynucleotide triphosphates)in a sample.how can you separate these all?

3 5631

1)how can u determine the concentration in an atp solution. (iisc phd interview) 2)why snake venum toxin is not acting as toxin to snake

2 11098

Post New IISc Bio Chemistry Interview Questions




Un-Answered Questions

What Is Bank? What Are The Types Of Banks?

632


What is a word for problem solver?

291


How to set the cursor to wait in JavaScript?

545


What is the difference between passive stage and active stage?

807


Explain staffing percent.

542






How do I gain root from a suid script or program?

1


What are the governing principles under "reasonable accommodation"?

2441


How can we establish a connection pooling in the WEb Logic 9.1 server?

1675


Do you know what are the roles of XSLT?

502


What is the difference between a page and a post in wordpress?

166


What is encapsulation oop?

566


This program is in verilog and need help to get it working correctly. This is the code i have so far. Please help. Simple testbench would be great. Thanks\ 'define vend_a_drink {D,dispense,collect} = {IDLE,2'b11}; module drink_machine(nickel_in, dime_in, quarter_in, collect, nickel_out, dime_out, dispense, reset, clk) ; parameter IDLE=0,FIVE=1,TEN=2,TWENTY_FIVE=3, FIFTEEN=4,THIRTY=5,TWENTY=6,OWE_DIME=7; input nickel_in, dime_in, quarter_in, reset, clk; output collect, nickel_out, dime_out, dispense; reg collect, nickel_out, dime_out, dispense; reg [2:0] D, Q; /* state */ // synopsys state_vector Q always @ ( nickel_in or dime_in or quarter_in or reset ) begin nickel_out = 0; dime_out = 0; dispense = 0; collect = 0; if ( reset ) D = IDLE; else begin D = Q; case ( Q ) IDLE: if (nickel_in) D = FIVE; else if (dime_in) D = TEN; else if (quarter_in) D = TWENTY_FIVE; FIVE: if(nickel_in) D = TEN; else if (dime_in) D = FIFTEEN; else if (quarter_in) D = THIRTY; TEN: if (nickel_in) D = FIFTEEN; else if (dime_in) D = TWENTY; else if (quarter_in) 'vend_a_drink; TWENTY_FIVE: if( nickel_in) D = THIRTY; else if (dime_in) 'vend_a_drink; else if (quarter_in) begin 'vend_a_drink; nickel_out = 1; dime_out = 1; end FIFTEEN: if (nickel_in) D = TWENTY; else if (dime_in) D = TWENTY_FIVE; else if (quarter_in) begin 'vend_a_drink; nickel_out = 1; end THIRTY: if (nickel_in) 'vend_a_drink; else if (dime_in) begin 'vend_a_drink; nickel_out = 1; end else if (quarter_in) begin 'vend_a_drink; dime_out = 1; D = OWE_DIME; end TWENTY: if (nickel_in) D = TWENTY_FIVE; else if (dime_in) D = THIRTY; else if (quarter_in) begin 'vend_a_drink; dime_out = 1; end OWE_DIME: begin dime_out = 1; D = IDLE; end endcase end end always @ (posedge clk ) begin Q = D; end endmodule

2894


What is conversion rate in ppc?

221


How do I make a picture border in word?

335


Which type of air compressor is used now a days in the market. Keeping in mind of energy efficiency and maintenance points. Relevant documents may also be sent on mentioned email ids.

1232