Differences between D-Latch and D flip-flop?

Answer Posted / abc

Answer 2 is wrong. latch can have a clock. Answer 1 is correct.
elaborating on it: difference is that for a latch the output
can follow input(like a buffer) if latch is in "pass" state,
else if the clock input is such that the its in "latch"
state then output is preserved. Whereas, flip-flop output
only changes at the clock edge(rising or falling depending
upon type of flop)

Is This Answer Correct ?    120 Yes 24 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

Explain what is Verilog?

637


If an/ap = 0.5, an/ap = 1, an/ap = 3, for 3 inverters draw the transfer characteristics?

2009


In the design of a large inverter, why do we prefer to connect small transistors in parallel (thus increasing effective width) rather than lay out one transistor with large width?

682


For a single computer processor computer system, what is the purpose of a processor cache and describe its operation?

1852


What was your role in the silicon evaluation/product ramp? What tools did you use?

3209






What are the different classification of the timing control?

576


What happens if we use an Inverter instead of the Differential Sense Amplifier?

2473


Explain Basic Stuff related to Perl?

606


Explain what is the depletion region?

622


What is the function of tie-high and tie-low cells?

621


What is Body Effect?

2035


Draw the Cross Section of an Inverter? Clearly show all the connections between M1 and poly, M1 and diffusion layers etc?

2851


Given a layout, draw its transistor level circuit. (I was given a 3 input AND gate and a 2 input Multiplexer. You can expect any simple 2 or 3 input gates)

719


Explain depletion region.

615


Mention what are the two types of procedural blocks in Verilog?

758